新思科技数字和定制设计平台获台积公司最新3纳米制程技术认证

【字谜科技讯】6月25日消息,新思科技近日宣布,台积公司已在其3纳米(nm)制程技术的最新设计规则手册(DRM)和制程设计套件中,对新思科技的数字和定制设计解决方案进行了认证。

据介绍,新思科技高度集成的Fusion Design Platform是双方成功协作的关键组成部分,为台积公司的3纳米先进制程技术提供全面的全流程设计收敛和紧密的签核解决方案。新思科技Fusion Compiler™和IC Compiler™ ll布局布线产品通过全新的全局详细布线技术创新实现了结果时序质量(QoR)的优化 。全流程、总功耗优化及合理布局和优化并行技术让开发者得以同时实现所需的总功耗配置和PPA整体优化目标。。

双方在3纳米制程节点下的合作还包括部署其他实现技术,包括对颜色标记和via-pillar高级布线的支持,以及同时支持高性能和低功耗设计的创新触发器优化。此外,作为Fusion Design Platform的关键组成部分,Design Compiler® NXT综合产品也在合作中得到了强化,通过与IC Compiler II更紧密的时序相关性提供融合度更高的设计流程,从而让针对N3工艺的所有设计都可获益。

新思科技与台积公司在3纳米技术领域的合作还包括针对低电压变化的PrimeTime®支持,以及针对台积公司布局规则的支持,以便在实现和签核期间实现融合的ECO收敛。新思科技的PrimePower支持3纳米功率签核物理规则,包括泄漏和动态功率,以及StarRC™提取-建模增强功能,以提供所需的精度。

经过台积公司3纳米技术认证的签核解决方案还包括NanoTime定制时序签核、ESP定制等效验证和QuickCap®NX寄生参数场域求解器解决方案。新思科技的IC Validator™物理签核经过增强可支持所有先进工艺要求,包括用于提高密度的新的虚拟填充功能、针对电路布局的LVS验证,以及针对DRC的增强型三角电压规则调试效率。

Custom Compiler™ 设计和布局解决方案是新思科技Custom Design Platform的一部分,可为使用台积公司先进制程技术的开发者提供更高的生产率。Custom Compiler的诸多增强功能经过了新思科技DesignWare IP团队等早期3纳米用户的验证,可减少满足3纳米技术要求所需投入的工作量。新思科技PrimeSim™ HSPICE®、PrimeSim™ SPICE、PrimeSim™Pro和PrimeSim™XA仿真器是PrimeSim™ Continuum解决方案的组成部分,为台积公司3纳米设计缩短迭代时间,并可为电路仿真和可靠性要求提供签核。

发表评论

您必须 [ 登录 ] 才能发表留言!

相关文章